首页 >> 实验室 >> 详细内容
实验室
 
实验室 >> 正文
EDA实验室简介
日期:11-26 19:48:13  发布人:dgdz

电子设计自动化,是随着微电子技术和计算机技术而发展起来的一种电子设计方法。近年来,随着大规模集成电路的发展特别是可编程逻辑器件的发展而得到了迅速发展,目前广泛应用在电子、通信、自动化、航空航天等领域。EDA实验室主要为通信工程、电子信息工程等专业学生提供教学实验和课程设计,使学生掌握现代电子设计技术的设计、电子线路仿真、PCB板制作的方法和设计过程,了解和掌握电子应用系统从设计要求到原理图制作、电路仿真、电路验证和下载的整个电子自动化设计过程。

主要实验设备

EDA实验箱30台、电脑30

实验课程

《电子设计自动化》

部分实验项目

1EDA实验箱的使用

2、用原理图和Verilog语言设计一位全加器实验

34位加法器实验

44位十进制频率计设计实验

5、用状态机实现对AD0809采集控制实验

6全减器设计实验

7二进制码转BCD码实验

8计数器设计实验

9、组合逻辑电路设计实验

10、时序电路设计实验

核发:dgdz 点击数:1169收藏本页